You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
library ieee;
context ieee.ieee_std_context;
entity min is
port (
clk : in std_logic;
val : out std_logic
);
end entity min;
architecture rtl of min is
signal int_val : std_logic := '0';
begin
val <= int_val;
main: process(clk)
begin
if rising_edge(clk) then
int_val <= not int_val;
end if;
end process main;
end architecture rtl;
min.xdc:
set_property -dict { PACKAGE_PIN W5 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_34 Sch=CLK100MHZ
create_clock -add -name sys_clk_pin -period 10.000 -waveform {0 5.000} [get_ports { clk }];
## LED
set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { val }]; #IO_L23N_T3_A02_D18_14 Sch=led[0]## Configuration options, can be used for all designs
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]
The text was updated successfully, but these errors were encountered:
Yes. This is unfortunately a backwards-incompatible change I had to make to support external plugins. The function still exists but have moved, so change the import line to from edalize.edatool import get_edatool and it should work again
I started having this error when using edalize 0.5.0, insalling it using pip:
Running a minimal project I get the following error:
when I run the Arch Linux provided package (edalize 0.4.0), the error does not show up.
Infos:
Did I forget to install anything or any subtle error? Can you reproduce the error?
the minimal project has the following files:
min.py:
min.vhd:
min.xdc:
The text was updated successfully, but these errors were encountered: